BITS Pilani

  • Page last updated on Tuesday, December 20, 2022

Kizheppatt Vipin

banner
Publications

Publications

 
Scopus Profile
 
 
Journal Publications
 
M. Shafiee, D. Fedorov, B. Grossan, K. Vipin and G. F. Smoot,  “A Readout System for Microwave Kinetic Inductance Detectors Using Software define Radios" to appear in Journal of instrumentation (JINST), 2021
 
K.S Reddy, K. Vipin, “OpenNoC: An Open-Source NoC infrastructure for FPGA-based Hardware Acceleration”, IEEE Embedded system letters, 2019
 
K Vipin, “AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation”, International Journal of Reconfigurable Computing, Hindawi Publications, Volume 2019, Article ID 7239858
 
K. Vipin and S.A. Fahmy, "FPGA Dynamic and Partial Reconfiguration: A Survey of Architectures,Methods, and Applications”, ACM Computing Surveys (CSUR), Volume 51, Issue 4, 2018
 
M. Asiatici, N. George, K. Vipin, S.A. Fahmy, P. Ienne, "Virtualized Execution Runtime for FPGA Accelerators in the Cloud", IEEE Access, 2017
 
K. Vipin and S.A. Fahmy, "ZyCAP: Efficient Partial Reconfiguration Management on the Xilinx Zynq", IEEE Embedded System Letters (ESL), 2014
 
Peer Reviewed International Conference Publications
 
M Irfan, K. Vipin and R. C. C. Cheung "On the Suitability of Read Only Memory for FPGA-based Content-Addressable Memory Emulation", to appear in Proceedings of International Symposium on Applied Reconfigurable Computing (ARC), 2021
 
X Li, K Vipin, DL Maskell, SA Fahmy, AK Jain "High Throughput Accelerator Interface Framework for a Linear Time-Multiplexed FPGA Overlay", in Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), 2020
 
A Zhanbolatov, K Vipin, A Dadlani, D Fedorov "StocNoC: Accelerating Stochastic Models Through Reconfigurable Network on Chip Architectures", in Proceedings of International Symposium on Applied Reconfigurable Computing, 2020
 
K Vipin "ZyNet: Automating Deep Neural Network Implementation on Low-Cost Reconfigurable Edge Computing Platforms", in Proceedings of International Conference on Field-Programmable Technology (ICFPT), 2019
 
AP James, B Choubey, K Vipin "Reconfigurable Threshold Logic Networks in FPGA for Moving Object Detection", in Proceedings of IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), 2019
 
M. Bekbolat, S. Kairatova, A. Shymyrbay and K. Vipin, “HBLast: An open-source FPGA library for DNA sequencing acceleration”, in Proceedings of IEEE International Parallel and Distributed Processing System (IPDPS), Rio De Janeiro, Brazil, May 2019
 
K Vipin, Y. Akhmetov, S. Myrzakhme and A. P James, “FAPNN : An FPGA based Approximate Probabilistic Neural Network Library”, in Proceedings of IEEE International Conference on Computing and Network Communications, Astana, Kazakhstan, August 2018
 
K Vipin “CANNoC: An Open Source NoC Architecture for ECU Consolidation”, IEEE International Midwest Symposium on Circuits and Systems (IEEE MWSCAS), Windsor, Canada, August 2018
 
I Dolzhikova, K Salama, K Vipin and A James, “Memristor-based Synaptic Sampling Machines”, IEEE International Conference on Nanotechnology, Cork (IEEE NANO), Ireland, July 2018
 
A P James, O Krestinskaya, K Vipin, “Memristive Probabilistic Neural Network for Biometric Recognition”, in Proceedings of international conference on Memristive materials, devices and systems, Beijing, China, July 2018
 
K. Vipin, J. Gray, N. Kapre, "Enabling partial reconfiguration and low latency routing using segmented FPGA NoCs”, in Proceedings of the IEEE international conference on Field Programmable Logic and Applications (FPL), Ghent, Belgium, September 2017
 
M. Vesper, D. Koch, K. Vipin and S.A. Fahmy, “JetStream: An Open-Source high-performance PCI Express-3 streaming library for FPGA-to-Host and FPGA-to-FPGA communication”, in Proceedings of IEEE International Conference on Field Programmable Logic and Applications (FPL), Lausanne, Switzerland, August-2016 (Community award winner
 
M. Asiatici, N. George, K. Vipin, S. A. Fahmy and P. Ienne, “VERT: Virtualized Execution Runtime for FPGA Accelerators in the Cloud”, in Proceedings of IEEE International Conference on Field Programmable Logic and Applications (FPL), Lausanne, Switzerland, August-2016
 
P. Cotret, K. Vipin, C. Moy, “Multi-standard OFDM transceiver for heterogeneous System-on-Chips”, in Proceedings of WInnComm Europe 2016, Paris, France, October -2016
 
A. Kulkarni, K. Vipin, “MiCAP: A custom Reconfiguration Controller for Dynamic Circuit Specialization”, in Proceedings of IEEE International Conference on reconfigurable computing and FPGAs (ReConFig), Mexico, December-2015 
 
S. A. Fahmy, K. Vipin, and S. Shreejith, “Virtualized FPGA Accelerators for Efficient Cloud Computing”, in Proceedings of the IEEE International Conference on Cloud Computing Technology and Science (CloudCom), pp. 430-435, Vancouver, Canada, November 2015
 
K. Vipin and S. A. Fahmy,  “Mapping Adaptive Hardware Systems with Partial Reconfiguration Using  CoPR for Zynq”, in Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems (NASA AHS), Montreal, Canada, June 2015
 
S. Shreejith, B. Banarjee, K. Vipin and S. A. Fahmy, “Dynamic Cognitive Radios on the Xilinx Zynq Hybrid FPGA”, International Conference on Cognitive Radio Oriented Wireless Networks (CROWNCOM), Doha, Qatar, 2015 
 
K. Vipin and S. A. Fahmy, “DyRACT: A Partial Reconfiguration Enabled Accelerator and Test Platform”, in Proceedings of the IEEE International Conference on Field Programmable Logic and Applications (FPL), Munich, Germany, September 2014
 
K. Vipin, S. Shreejith, S. A. Fahmy, and A. Easwaran, “Mapping Time-Critical Safety-Critical Systems to Hybrid FPGAs”, in Proceedings of the IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA), Hong Kong, China, August 2014, pp. 31–36
 
K. Vipin and S. A. Fahmy, “Automated Partial Reconfiguration Design for Adaptive Systems with CoPR for Zynq”, in Proceedings of the IEEE Symposium on Field programmable Custom Computing Machines (FCCM), Boston, MA, May 2014, pp. 202–205. (Citations: 8)
     
K. Vipin, S. Shreejith, D. Gunasekara, S.A. Fahmy and N. Kapre, "System-Level FPGA Device Driver with High-Level Synthesis Support", in Proceedings of the IEEE International Conference on Field Programmable Technology (FPT), Kyoto, Japan, December 2013
 
K. Vipin and S.A. Fahmy, “An Approach to a Fully Automated Partial Reconfiguration Design Flow”, in Proceedings of the IEEE International Conference on Field Programmable Custom Computing Machines (FCCM), Seattle, WA, April 2013, pp. 231
 
S. Shreejith, K. Vipin and S.A. Fahmy, "An Approach for Redundancy in FlexRay Networks Using FPGA Partial Reconfiguration”, in Proceedings of the Design, Automation and Test in Europe (DATE), Grenoble, France, March 2013, pp. 721–724
 
K. Vipin and S.A. Fahmy, "An Automated Partitioning Scheme for Partial Reconfiguration based Adaptive Systems", IEEE International Parallel and Distributed Processing System (IPDPS), Boston, USA, May 2013, pp. 172– 181
 
K. Vipin and S.A. Fahmy, “A High-Speed Open Source Controller for FPGA Partial Reconfiguration”, in Proceedings of the IEEE International Conference on Field Programmable Technology (FPT), Seoul, Korea, December 2012, pp. 61–66
 
K. Vipin and S.A. Fahmy, “Architecture-Aware Reconfiguration-Centric Floorplanning for Partial Reconfiguration”, in Reconfigurable Computing: Architectures, Tools and Applications – Proceedings of the International Symposium on Applied Reconfigurable Computing (ARC), Hong Kong, March 2012, pp. 13–25 
 
K. Vipin and S.A. Fahmy, “Efficient Region Allocation for Adaptive Partial Reconfiguration”, in Proceedings of the IEEE International Conference on Field Programmable Technology (FPT), New Delhi, India, December 2011
 
K. Vipin and S.A. Fahmy, “A Threat Based Connect6 Implementation on FPGA”, in Proceedings of the IEEE International Conference on Field Programmable Technology (FPT), New Delhi, India, December 2011 
 
Posters at International Conferences
 
M Bekbalanova, M Shafiee and K. Vipin, “Data Acquisition System for Microwave Kinetic Inductance Detectors”, IEEE Workshop on Signal Processing Systems, Cape Town, South Africa, October 2018
 
K. Vipin and S.A. Fahmy, “Enabling High Level Design of Adaptive Systems with Partial Reconfiguration”, PhD Forum Paper in Proceedings of the International Conference on Field Programmable Technology (FPT), New Delhi, India, December 2011.
 
 
 
 

Quick Links

    An Institution Deemed to be University estd. vide Sec.3 of the UGC Act,1956 under notification # F.12-23/63.U-2 of Jun 18,1964

    © 2024 Centre for Software Development,SDET Unit, BITS-Pilani, India.

    Designed and developed by fractal | ink design studios