BITS Pilani

  • Page last updated on Friday, September 16, 2022

Major cleanroom facilities.

banner
Innovate. Achieve. Lead

Major cleanroom facilities.

BITS Pilani Hyderabad campus established state of the art facilities for Micro and Nano semiconductor device fabrication and characterization. The size of clean room is 581 sq. f and 80% of it is maintained as (ISO 6) class 1000 and the rest is class 100 (ISO 5). Complete class 100 area covered with yellow light and dedicated for Lithography process.
 

1.    Wet chemical work station

The wet station is made up of PP material and it is inert to all sorts for wet chemicals. The station has two compartments, one dedicated for Si wafer cleaning process (RCA) and other part used for general purpose like resist development and removal, acetone, IPA, polymer process and other solvent process. The equipment is placed in clean room having class 100 cleanliness.
 
List of chemicals allowed:
Acetone, IPA, Nh4OH, HCL, HF, H2O2, H2O, BHF, TMAH, HNA, Resists, developers and strippers.
 
Substrates allowed:
Si, Quartz, GaAs, Ge, Al2O2, SiC and other substrates on request.
 
Technical specifications:
·         Working area dimensions: 2000mm X1000 mm X900 mm and closing slash.
·         Laminar flow dynamics with FFU and HEPA filers 99.99%.
·         Room Humidity is maintained 50% +/- 2 and Temperature around 20 +/- 2.
·         General exhaust is available to remove fumes during process.
 
Application:
·         RCA Process.
·         Piranha Process.
·         Development process.
·         Lift off and metal etch process.
·         HF dip for native oxide removal.
·         Wet etch.
·         Glass/PET substrate cleaning.
 
2.    Two-inch furnace capabilities.
The high temperature and atmosphere pressure quartz furnaces mainly used for oxidation on Si wafer and annealing metal contacts in inert gas or forming gas. The system has two zone and each zone has two tubes. These four tubes are dedicated for Oxidation, N2 annealing, Ar annealing and non-semiconductors samples respectively.
 
Technical specifications:
·         Maximum temperature        : upto 1000 deg. C.
·         Temperature accuracy          : +/- 5 deg. C.
·         Hot zone length                      : 300 mm.
·         Inner diameter of the tube   : 75 mm.
 
List of substrates allowed:
Si, Quartz, GaAs, Ge, Al2O2, SiC and other substrates on request.
 
Gases allowed: N2, O2 and Ar.
 
Application:
·         Dry oxidation process.
·         N2/Ar annealing process.
·         O2 annealing Process.
·         Ceramic materials annealing process.
 
3.    Spin Coater System.
The thin film from nanometer range to millimeter can be spin coat using Spin Coating equipment on a flat substrate.  The thickness of film or material depends on spin speed and the viscosity of the dropping material
 
Technical specifications:
·        Spin speed      :  upto 8000 rpm.
·        Substrate size :  15 mm diameter.
 
Substrates allowed:
All sorts of plan substrates allowed. (should be withstand high speed)
 
Applications:
Resist coating on plan substrates.
PDMS and PPA coating on PET sheets.
 
4.    Probe station with source measure unit.
Probe station with source measure unit used for electrical measurements on semiconductor devices. Electrical current response from a device while sweeping the voltage from -10V to 10 V at different frequency range will help to understand the device characteristics. I V and C V measurements can be done using the Probe station.
 
Technical specifications:
Source measure unit details required.
Substrates allowed:
No restriction.
 
Applications:
I V and TLM can be done.
 
5.    U. V. Exposure System.
System used for material curing and level one lithography without alignment. Photo resist or  light sensitive materials exposed to U V light , it may be become dissolve or harder in chemical solution and it depend on nature of the material.
 
Technical specifications:
·         Exposure area         : 100 mm X 200 mm.
·         Wave length range : 350 nm to 450 nm.
 
Substrates allowed:
Si, Quartz, GaAs, Ge, Al2O2, SiC and other substrates on request.
 
Applications:
U V curing process.
Level one lithography process.
 
6.    Electron beam evaporator system.
Metal as a film can be deposit on semiconductors and non-semiconductors substrate using electron bean evaporator system. The deposition normally done at very good vacuum ( 1 e-6 m bar: base pressure ) for good ohmic contacts.
 
Technical specifications:
·        E beam gun power: 3 KW.
·        Base vacuum: 1 e -6 m bar.
 
Substrates allowed:
Si, Quartz, GaAs, Ge, Al2O2, SiC and other substrates on request.
 
Applications:
Metal deposition on semiconductor and non-semiconductor substrates.
 
7.    RF Sputter deposition system.
Oxide materials like SiO2, TiO2, Al2O3 and etc. can be deposit on plane substrate using RF sputter system. Base vacuum 1 X e-6 mbar can be achieved in 45 min time by turbo Pump. Plasma generation and deposition in 99.99% pure Argon environment at pressure 5Xe-2 m bar.
 
Technical specifications:
·        RF Power: 300W
·        Substrate size: Small samples to 4-inch dia.  can be loaded.
·        Target size: 3-inch dia. with copper back plate
·        Sample should be plane
·        Gases: O2, Ar and N2
·        Thickness to be deposit up to 250 nm.
 
Substrates allowed:
Si, Quartz, GaAs, Ge, Al2O2, SiC and other substrates on request.
 
Applications:
Oxide deposition on semiconductor and non-semiconductor substrates.
 
8.    Bruker Profilometer
·        2D line profile with scan length up to 5 mm can be measured. Step height on plane substrate up to 1 mm can be measure with a vertical resolution 0.1 nm.
·        Tip Dia. : 2 um.
·      Software available to level the data and measure parameters like surface roughness, rms, avg. roughness and film stress.
·        Sample Size: 0.5 X 0.5 inch to 4 X 4 inch can be placed and thickness up to 5 mm.
 
9.    Mask Alinger EMA 400.
Mask aligner is a U V exposure system, it exposes on to a photosensitive film through a chrome-plated mask, it transfers a mask pattern on to the substrate
 
Technical specifications:
·         Best resolution 2um 
·         Mask size 5-inch dia.
·         Sample size up to 4-inch dia.
·         U V lamp Power: 250 watts.
 
Substrates allowed:
Si, Quartz, GaAs, Ge, Al2O2, SiC and other substrates on request.
 
10. Reactive ion etch system:
Reactive ion etch process is a dry etch process. It removes silicon material from exposed/ unwanted area by fluorine chemistry.  Etch depth is up to 1 um.
 
Technical specifications:
·        Base vacuum: 1 e-4  m bar
·        RF Power: 300W
·        Substrate size: Small samples to 4-inch dia.  can be loaded.
·        Sample should be flat
·        Etch depth : upto 1 um
 
Gases: O2, Ar, CHF3 and N2
 
Applications:
·        Device isolation
·        Micro depth channels etch with vertical profiles
·        Sample cleaning for 2 D material
·        Resist ashing and sample preparation
 
Substrates allowed:
Si, Quartz, GaAs, Ge, Al2O2, SiC and other substrates on request.
 
11.  Film thickness and optical constants measurement system
Oxide deposition on Silicon or other semiconductor substrates is order of few nanometre to few microns. The thickness of film and the quality of oxide can be measured simultaneously using film measurement tool. Further the data is fitted with theoretical models and fit it till the lowest fitment value.
 
Technical specifications:
Detector wavelength range 200 nm to 800 nm.
 
Applications:
·         Thickness of few Nano-meter of metal films can be measured
·         Thickness of film and optical constants can be measure for oxide films
·         Thickness of photoresist up to 80 um thickness can be measured
·         Film porosity and interfacial density can also be measured
·         Lowest measured thickness is 1 nm and maximum is 80 ums.

Quick Links

An Institution Deemed to be University estd. vide Sec.3 of the UGC Act,1956 under notification # F.12-23/63.U-2 of Jun 18,1964

© 2024 Centre for Software Development,SDET Unit, BITS-Pilani, India.

Designed and developed by fractal | ink design studios